Welcome![Sign In][Sign Up]
Location:
Search - SDRAM FPGA

Search list

[Other resourceref-sdr-sdram-vhdl

Description: DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
Platform: | Size: 776642 | Author: 张涛 | Hits:

[Other resourcesdram

Description: sdram控制器 这里考虑将SDRAM控制器结合目前项目开展来做相应的模块,而不做SDRAM通用控制器,这样也是考虑了FPGA的器件资源而采取的措施。同时编写的逻辑简单,没有多余的逻辑资源有利于提高控制器的速度,满足最后的设计要求。-SDRAM controller here consider SDRAM controller current projects do the corresponding module, but not so common SDRAM controller, as well as consider the FPGA device resources and the measures taken. While the preparation of simple logic, the logic is no spare resources to improve the speed controller to meet the final design requirements.
Platform: | Size: 3806 | Author: 林博 | Hits:

[Otherref-sdr-sdram-vhdl

Description: FPGA连接SDRAM的源程序,VHDL语言实现,功能基本完全。应用效果好。
Platform: | Size: 731727 | Author: young | Hits:

[CommunicationFPGA

Description: SDRAM控制模块;图象采集系统说明性稳当;DSP图象采集系统。SDRAM作为存储器。
Platform: | Size: 179818 | Author: yan | Hits:

[Other resourceSDRAM

Description: 基于FPGA的SDRAM控制器的设计和实现,还比较好勒.
Platform: | Size: 70200 | Author: rubyshirial | Hits:

[Documents基于SDRAM的图像存储器

Description: 基于FPGA的SDRAM控制器设计 摘 要:介绍了SDRAM的特点和工作原理,提出了一种基于FPGA的SDRAM控制器设计方法,采用Verilog语言完成的控制器的设计,可以很方便地对SDRAM进行操作。控制器在大容量数据记录仪扩展缓存得到了很好的应用。
Platform: | Size: 245248 | Author: 576974463@qq.com | Hits:

[SCMsl361_schematic-gerber

Description:
Platform: | Size: 17071104 | Author: 张晓 | Hits:

[VHDL-FPGA-Verilogsdram_control

Description: 这是我从网上找到的用vhdl语言写的sdram控制器的代码。我的邮箱:wleechina@163.com-This is what I found online vhdl language used to write the sdram controller code. My mail : wleechina@163.com
Platform: | Size: 339968 | Author: 李伟 | Hits:

[VHDL-FPGA-Verilogsdr_c_trl_verilog

Description: SDRAM 控制器的Verilog代码 经过综合验证过的.无截压密码-SDRAM controller Verilog code comprehensive test after all. No cut-off pressure Password
Platform: | Size: 12288 | Author: 曹大壮 | Hits:

[VHDL-FPGA-Verilogmy_fifo_vhdl

Description: XILINX的FPGA实现的双口ram源码,可作为dsp\SDRAM和pci桥接作用,可直接使用,实际工程通过。-XILINX FPGA Implementation of the dual-port ram source, as dsp \ SDRAM and pci bridge, and can be used directly, through practical projects.
Platform: | Size: 19456 | Author: 朱效志 | Hits:

[MPISRAM_2

Description: FPGA的SDRAM控制器源程序 FPGA的SDRAM控制器源程序-FPGA SDRAM controller source FPGA SDRAM controller source
Platform: | Size: 553984 | Author: zlw | Hits:

[VHDL-FPGA-VerilogDDRSDRAM

Description: DDR sdram 包含的完整的源码,仿真的相关文件-DDR sdram contains complete source code, simulation of the relevant documents
Platform: | Size: 1021952 | Author: 飞翔 | Hits:

[VHDL-FPGA-VerilogSDRAM缓冲测试程序

Description: 对FPGA的SDRAM进行测试,主要是实现FIFO-SDRAM-FIFO的数据传输(Test the SDRAM of the FPGA)
Platform: | Size: 76057600 | Author: 降落 | Hits:

[VHDL-FPGA-VerilogFPGA读写SDRAM的实例

Description: FPGA对SDRAM进行读写测试程序,亲测有效无误。(FPGA reads and writes test programs for SDRAM.)
Platform: | Size: 19928064 | Author: 蠢月月 | Hits:

[VHDL-FPGA-Verilogsdram_ov7670_rgb_vga_640480

Description: IIC配置ov7670,图像存储到sdram,并通过vga显示(IIC configuration ov7670, images stored to SDRAM, and displayed through the VGA)
Platform: | Size: 6973440 | Author: songchao | Hits:

[OtherSDRAM

Description: 在FPGA平台上运行,经过调试,是好使的,此程序能帮助使用者充分理解SDRAM的意义。(It is easy to run on the FPGA platform and be debugged. This program can help users fully understand the meaning of SDRAM)
Platform: | Size: 3386368 | Author: Arrich Long | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: 基于fpga与verilog语言的的sdram读写(SDRAM reading and writing based on FPGA and Verilog language)
Platform: | Size: 6144 | Author: 司王星 | Hits:

[VHDL-FPGA-Verilogsdram

Description: sdram的驱动开发,支持单字节读写,全页读写,自定义长度读写。(SDRAM drive development, support single byte read and write, full page read and write, custom length read and write.)
Platform: | Size: 7446528 | Author: 过客3944 | Hits:

[DocumentsSDRAM设计教程

Description: 一个关于如何在FPGA中使用SDRAM的教程,适合初学者(A tutorial on how to use SDRAM in FPGA, suitable for beginners)
Platform: | Size: 2387968 | Author: zxx233 | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: SDRAM编程代码,FPGA 的设计代码。(SDRAM programming code, FPGA design code.)
Platform: | Size: 9737216 | Author: FPGA110 | Hits:
« 1 2 3 45 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net